Skip to content

Instantly share code, notes, and snippets.

@ObKo
Created March 26, 2025 03:01
Show Gist options
  • Save ObKo/57bf11f5d97e7901f8fda8333a6b2d76 to your computer and use it in GitHub Desktop.
Save ObKo/57bf11f5d97e7901f8fda8333a6b2d76 to your computer and use it in GitHub Desktop.
set_property IOSTANDARD LVCMOS33 [get_ports *]
set_property PACKAGE_PIN D1 [get_ports Q]
set_property PACKAGE_PIN B4 [get_ports nQ]
set_property PACKAGE_PIN M16 [get_ports R]
set_property PACKAGE_PIN M15 [get_ports S]
set_property ALLOW_COMBINATORIAL_LOOPS TRUE [get_nets *]
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment